AN 307: Intel® FPGA Design Flow for Xilinx* Users

ID 683562
日付 3/20/2018
Public
ドキュメント目次

3.3.13.2. Signal Tap Logic Analyzer

Vivado* ソフトウェアに含まれているIntegrated Logic Analyzer (ILA) 機能では、実装後のデザインをFPGA上でデバッグします。同様に、 インテル® Quartus® Primeで提供しているSignal Tap Logic Analyzerは、多入力デジタル集録機器として、信号アクティビティーを任意数の内部デバイスノードからキャプチャーして保存します。 Signal Tap Logic AnalyzerによるFPGAデザインのデバッグの支援では、デザインの内部信号の状態を調査する際に外部機器は使用しません。
表 27.   Signal Tap Logic Analyzerの機能と使用方法
特長 一般的な使い方
  • FPGAリソースを使用します。
  • テストノードをサンプリングし、その情報を インテル® Quartus® Prime開発ソフトウェアに出力して、表示および解析を行います。
予備のオンチップメモリーがあり、ハードウェアで動作するデザインの機能検証が必要な場合。