インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン最適化

ID 683641
日付 9/30/2019
Public
ドキュメント目次

5.6.4. I/Oタイミングの最適化手法

このセクションで紹介するオプションは、セットアップ遅延(tSU)、ホールド時間(tH)およびclock-to-output遅延(tCO)パラメーターを含むI/Oタイミングを改善する方法を解説します。

  • I/Oタイミングの最適化に進む前に、次のことを確認してください。 デザインの割り当ては、「Design Optimization Overview」の章のInitial Compilation: Required Settingsセクションの提案に従います。
  • リソースの使用は問題ありません。
注: レジスター間のタイミング最適化ステージに進む前に、このステージを完了してください。 I/Oパスへの変更は、内部レジスター間のタイミングに影響します。

セットアップ時間とClock-to-Output時間の改善に関するまとめ

次の表は、tSUおよびtCO時間を削減するための手法の推奨順序を示しています。tSU時間を短縮すると、ホールド(tH)時間が増加します。

注: 各デバイスファミリで使用可能なオプションを確認する 
表 14.  セットアップ時間とClock-to-Output時間の改善
オーダー 手法 tSUへの影響 tCOへの影響
1 失敗したI/Oに適切な制約が設定されていることを確認します(初期コンパイル:必要な設定を参照) あり あり
2 I/Oにタイミングドリブンコンパイルを使用します(高速入力、出力、および出力イネーブルレジスターを参照) あり あり
3 高速入力レジスターを使用します(プログラム可能な遅延を参照) あり なし
4 高速出力レジスター、高速出力イネーブル・レジスター、高速OCTレジスター使用します(プログラム可能な遅延を参照) なし あり
5 Input Delay from Pin to Input Registerの値を小さくするか、Decrease Input Delay to Input Register = ONに設定します。 あり なし
6 Input Delay from Pin to Internal Cellsの値を小さくするか、Decrease Input Delay to Internal Cells = ONに設定します。 あり なし
7 Delay from Output Register to Output Pinの値を小さくするか、Increase Delay to Output Pin = OFFに設定します(高速入力、出力、および出力イネーブルレジスターを参照)。 なし あり
8 Input Delay from Dual-Purpose Clock Pin to Fan-Out Destinationsの値を大きくします(高速入力、出力、および出力イネーブルレジスターを参照) あり なし
9 PLLを使用するクロック・エッジのシフト方法 あり なし
10 Delay to output enable pinの値を大きくするか、Increase delay to output enable pinを設定します(PLLを使用してクロックエッジをシフトするを参照)。 なし あり