インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザイン最適化

ID 683641
日付 9/30/2019
Public
ドキュメント目次

5.5.3.3. クロックドメインを交差している問題のあるクロックパスを解析する際のヒント

クロックパス障害を解析する場合
  • これらのパスが2つのクロックドメインをまたがるかどうかを確認してください。
    2つのクロックドメインをまたがるパスでは、タイミング解析レポートのFrom ClockTo Clockが異なります。
    図 27. From Clockおよび To Clockフィールドでの異なる価値
  • また、ソース・レジスターとデスティネーション・レジスターのクロックが同じであっても、パスの中に異なるクロックを含むパスが存在することがあります。
  • このようなクロックドメイン間の問題のあるパスが同期的に解析可能であるかを確認します。
  • デザインに対してreport_timingを実行すると、レポートには各障害パスの起動クロックとラッチクロックが表示されます。 起動クロックとラッチクロックの関係が現実的であるかどうか、およびデザインに関する知識から何が期待できるかを確認します。
    たとえば、パスは立ち上がりエッジで開始し、立ち下がりエッジで完了しますが、これはセットアップ関係を半分のクロックサイクルに低減させます。
  • Timing Reportがレポートするクロック・スキューを確認します。
    大きなスキューは、ゲーテッドクロックなどのデザイン上の問題、または物理レイアウト上の問題(たとえば、専用クロック配線ではなくローカル配線を使用するクロック)を示している可能性があります。パスが同期的に分析され、パスに大きな歪みがないこと、および制約が正しいことを確認したら、データパスを分析できます。これらの手順を実行すると、クロックドメイン間のパスに対する制約を微調整して、正確なタイミングレポートを確実に得ることができます。
  • PLL位相シフトがセットアップ要件を低減しているかを確認します。
    セットアップ要件は、PLLパラメーターと設定で調整することができる場合があります。
  • クロックドメインを交差するパスは通常、2 つのクロックドメイン間での非同期的インタラクションを可能にするために、同期ロジック(例えば、FIFOまたはダブル・データ同期レジスター)で保護されています。
  • すべての不要なパスに誤ったパス制約を設定します。
    必要のないパスの最適化を試みると、場合によってはデザインに不可欠なタイミング・パス上のタイミング要件をフィッターが満たさないことがあります。