インテル® Stratix® 10デバイスのデザイン・ガイドライン

ID 683738
日付 6/30/2020
Public
ドキュメント目次

推奨されるタイミング最適化およびタイミング解析のアサインメント

表 70.  推奨されるタイミング最適化およびタイミング解析のアサインメントのチェックリスト
番号 チェック欄 チェック項目
1   SettingsダイアログボックスのFitter SettingsページでOptimize multi-corner timingをオンにします。
2   create_clockcreate_generated_clock を使用して、デザイン内のすべてのクロックの周波数および関係を指定します。
3   set_input_delayset_output_delay を使用して、外部デバイスまたはボードのタイミング・パラメーターを指定します。
4   derive_clock_uncertainty を使用して、インタークロック、イントラクロック、およびI/Oインターフェイスの不確実性を自動適用します。
5   check_timing を使用して、デザインまたは適用された制約に関する問題のレポートを生成します。これには制約の欠落が含まれます。
6   非同期パスに対しては、set_false_path または set_clock_groups を使用してください。

以上のアサインメントと設定は、 Intel® Stratix® 10デバイスのデザインなどの大規模なデザインにとって重要です。

Optimize multi-corner timingオプションをオンにすると、デザインが最適化され、タイミング要件がすべてのタイミングプロセスのコーナーおよび動作条件で満たされます。したがって、このオプションをオンにすると、より堅牢なデザイン実装をPVTのバリエーション全体で作成するのに役立ちます。

タイミング・アナライザー .sdc 制約ファイルでは、次の推奨される制約をデザインに適用してください。