インテル® Stratix® 10 CvP (プロトコル経由コンフィグレーション) 実装 ユーザーガイド

ID 683704
日付 11/29/2018
Public
ドキュメント目次

6.2.4. 更新リビジョンの設定とコンパイル

この項では、ベースデザインの更新リビジョンとして機能する新しいリビジョンを作成します。新しいリビジョンでは、ベースリビジョンからエクスポートされたルート・パーティションを再利用します。ただし、新しいコアロジックを使用します。

次の手順を実行して更新リビジョンの作成およびコンパイルを行います。

  1. 新しいリビジョンを作成するには、Project > Revisionsをクリックします。
  2. 新しいRevisionウィンドウが表示されます。新しいリビジョンを作成するには、<<new revision>>をダブルクリックします。
  3. リビジョン名をRevision nameフィールドで指定します。
  4. Revision Typesame as Base revisionを選択します。
  5. This project uses a Partition Database (.qdb) file for the root partitionを有効にします。この設定はDesign Partitionsウィンドウにも表示されます。
    図 17. Creating Revisions
  6. デザイン・パーティション・ウィンドウでエンティティー再バインド割り当てを使用し、予約されたコア・パーティションに関連付けられているロジックを変更します。

    たとえば、まず red_led を予約済みコア・パーティション内のロジックとして使用します。ここで、 red_led ロジックから green_led への変更をエンティティーの再バインドを介して行います。これにより、 red_led インスタンスが green_led インスタンスに置き換えられます。

    インテル® Quartus® Primeプロジェクトに、 インテル® Quartus® Primeの更新されたReserved Coreパーティション・ロジックに関連するソースファイルが含まれていることを確認してください。
  7. コンパイルを実行するには、Processing > Start Compilationをクリックします。