インテル® Quartus® Primeプロ・エディションのユーザーガイド: デザインのコンパイル

ID 683236
日付 6/26/2023
Public
ドキュメント目次

1.7.5. ステップ5: Fast Forwardによる推奨事項の実装

Fast Forwardでのタイミング・クロージャー推奨事項をデザインのRTLで実装し、合成および Retime ステージを再実行してHyper-Retimingを行い、予測されるパフォーマンス向上を実現します。実装する変更の量と種類は、パフォーマンス目標により異なります。 例えば、単純に非同期クリアの除去または変換で目標 fMAX を達成できる場合は、その変更を行いデザインの最適化を終了します。詳細は、リタイミングの制限と対処方法 を参照してください。
  1. デザインのRTLに1つまたは複数のFast Forward推奨事項を実装します。それには、次のような手法が含まれます。
    • コントロール・ロジックの制限 (長いフィードバック・ループやステートマシンなど) を取り除く
    • ロジックを再構築し、長い組み合わせフィードバック・パスではなく、機能的に同等のフィードフォワード・パスまたは事前計算パスを使用する
    • チェーン内の「長いパス」の遅延を減らす: 標準的なタイミング・クロージャー手法を使用して遅延を削減します。過剰な組み合わせロジック、最適ではない配置、配線の輻輳により、パスに遅延が発生します。
    • チェーンの「長いパス」にさらにパイプライン・ステージを挿入する: クリティカル・チェーンでは、長いパスのレジスター間遅延が最も大きくなります。
    • 遅延を増やす (つまり、チェーン内の「短いパス」にパイプライン・ステージを追加する)
    • パフォーマンスを調べ、目的のパフォーマンス目標に達するまでRTLの変更をコードに実装する
  2. RTLの変更を実装し、Hyper-Retimingを行います。それには、Compilation Dashboardの Retime ステージを再実行します (前提条件の合成ステージとフィットステージも再実行されます)。