Intel Agilex® 7汎用I/Oユーザーガイド: FシリーズおよびIシリーズ

ID 683780
日付 4/19/2023
Public
ドキュメント目次

6.1.7.3. タイミング解析

インテル® Quartus® Prime開発ソフトウェアは、GPIO IPコアのSDCタイミング制約を自動的には生成しません。タイミング制約は手動で入力する必要があります。

タイミング・ガイドラインおよび例を参照して、タイミング・アナライザーがI/Oタイミングを正しく解析するようにしてください。

  • 適切にI/Oインターフェイス・パスのタイミング解析を実行するには、.sdc ファイル内でシステム・クロック・ピンに対するデータピンのシステムレベルの制約を指定します。
  • 適切にコア・イ ンターフェイス・パスのタイミング解析を実行するには、次のクロック設定を .sdc ファイル内で定義します。
    • コアレジスターへのクロック
    • シンプル・レジスター・モードとDDIOモード用のI/Oレジスターへのクロック