Intel Agilex® 7汎用I/Oユーザーガイド: FシリーズおよびIシリーズ

ID 683780
日付 4/19/2023
Public
ドキュメント目次

6.1.7.3.4. フルレートまたはハーフレートDDIOの出力レジスター

フルレートとハーフレートのDDIO出力レジスターの出力側は同じです。
表 56.  DDIO出力レジスター .sdc コマンドの例
コマンド コマンド例 説明
create_clockcreate_generated_clock

create_clock -name ddio_out_fr_clk -period "200 MHz" ddio_out_fr_clk

create_generated_clock -source ddio_out_fr_clk -name ddio_out_fr_outclk ddio_out_fr_outclk

DDIOへのクロックと送信するクロックを生成します。
set_output_delay

set_output_delay -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

set_output_delay -add_delay -clock_fall -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

タイミング・アナライザーに、出力クロックに対して正と負のデータを解析するように指示します。
set_false_path

set_false_path -rise_from ddio_out_fr_clk -fall_to ddio_out_fr_outclk

set_false_path -fall_from ddio_out_fr_clk -rise_to ddio_out_fr_outclk

タイミング・アナライザーに、出力クロックの立ち下がりエッジに対してソースクロックの立ち上がりエッジを無視し、出力クロックの立ち上がりエッジに対してソースクロックの立ち下がりエッジを無視するように指示します。