インテル® Stratix® 10汎用I/Oユーザーガイド

ID 683518
日付 1/08/2020
Public
ドキュメント目次

4.3.3.2. フルレートまたはハーフレートDDIOの入力レジスター

フルレートとハーフレートDDIO入力レジスターの入力側は同じです。仮想クロックを使用してFPGAへのオフチップ・トランスミッターをモデル化することにより、システムを適切に制約することができます。
図 34. フルレートまたはハーフレートDDIOの入力レジスター


表 22.  フルレートまたはハーフレートDDIOの入力レジスター .sdc コマンドの例
コマンド コマンド例 説明
create_clock

create_clock -name virtual_clock -period "200 MHz"

create_clock -name ddio_in_clk -period "200 MHz" ddio_in_clk

仮想クロックとDDIOクロックのクロック設定を作成します。
set_input_delay

set_input_delay -clock virtual_clock 0.25 ddio_in_data

set_input_delay -add_delay -clock_fall -clock virtual_clock 0.25 ddio_in_data

タイミング・アナライザー に、転送の正のクロックエッジおよび負のクロックエッジを解析するよう指示します。2番目の set_input_delay コマンドの -add_delay に注意してください。
set_false_path

set_false_path -fall_from virtual_clock -rise_to ddio_in_clk

set_false_path -rise_from virtual_clock -fall_to ddio_in_clk

タイミング・アナライザー に、負のエッジでトリガーされるレジスターへの正のクロックエッジ、および正のエッジでトリガーされるレジスターへの負のクロックエッジを無視するよう指示します。

注: ck_hr 周波数は、ck_fr 周波数の半分である必要があります。I/O PLLがクロックを駆動する場合は、derive_pll_clocks .sdc コマンドを使用することも可能です。