インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

2.6. スクリプトでのコマンドライン実行コマンドの使用

コマンドライン実行コマンドは、 インテル® Quartus® Prime開発ソフトウェアに加えて、他のソフトウェアを制御するスクリプトで使用することができます。 たとえば、デザインフローでサードパーティー合成ソフトウェアまたはシミュレーション・ソフトウェアを使用していて、コマンドプロンプトでそのソフトウェアを実行できる場合は、これらのコマンドを インテル® Quartus® Prime実行コマンドと1つのスクリプトにグループ化することができます。

新しいプロジェクトを設定し、ピン位置アサインメントやタイミング要件などの個別の制約を適用するには、Tclスクリプトまたは インテル® Quartus® Prime GUIを使用する必要があります。

コマンドライン実行コマンドは、既存のプロジェクトの操作、共通のグローバル設定の作成、および共通の操作の実行に非常に役立ちます。フローの柔軟性を高めるには、Tclスクリプトを使用します。さらに、Tclスクリプトは、デザインフローのさまざまな段階間でデータを簡単に渡すことができます。

たとえば、UNIXシェルスクリプトを作成してサードパーティーの合成ソフトウェアを実行し、 インテル® Quartus® Prime開発ソフトウェアでデザインを配置配線し、他のシミュレーション・ソフトウェア用の出力ネットリストを生成することができます。