インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.9.1. 実行例

完全なフローで自動スクリプト実行がどのように動作するかを示します。ここでは、現在のリビジョンがrev_1という名前でtopという名前のプロジェクトがあり、プロジェクトに対して次のアサインメントが.qsfにあると仮定しています。
set_global_assignment -name PRE_FLOW_SCRIPT_FILE quartus_sh:first.tcl
set_global_assignment -name POST_MODULE_SCRIPT_FILE quartus_sh:next.tcl
set_global_assignment -name POST_FLOW_SCRIPT_FILE quartus_sh:last.tcl

プロジェクトをコンパイルするとき、PRE_FLOW_SCRIPT_FILEアサインメントによって、次のコマンドがコンパイルの開始前に実行されます。

quartus_sh -t first.tcl compile top rev_1

次に、 インテル® Quartus® Prime開発ソフトウェアはコンパイルを開始し、 quartus_syn実行コマンドによって論理合成が実行されます。論理合成が終了すると、POST_MODULE_SCRIPT_FILEアサインメントによって、次のコマンドが実行されます。

quartus_sh -t next.tcl quartus_fit top rev_1

次に、 インテル® Quartus® Prime開発ソフトウェアはコンパイルを継続し、quartus_fit実行コマンドによってフィッターが実行されます。フィッターが終了すると、POST_MODULE_SCRIPT_FILEアサインメントによって、次のコマンドが実行されます。

quartus_sh -t next.tcl quartus_fit top rev_1

対応するコマンドは、コンパイルのその他の段階の後に実行されます。最後に、コンパイルが完了すると、POST_FLOW_SCRIPT_FILEアサインメントによって次のコマンドを実行されます。

quartus_sh -t last.tcl compile top rev_1