インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.9.2. 処理の制御

POST_MODULE_SCRIPT_FILEアサインメントによって、スクリプトが各モジュールに続いて実行されます。各モジュールに続いて同じスクリプトが実行されるため、スクリプトにおける処理を特定のモジュールに制限するステートメントを追加することが必要になる場合があります。

たとえば、スクリプトをタイミング分析後にのみ実行する場合は、次の例のような条件テストを使用します。第1引数としてスクリプトに引き渡されたフロー名またはモジュール名をチェックし、モジュールがquartus_staである場合にコードを実行します。

単一モジュールへの処理の制限

set module [lindex $quartus(args) 0]
if [string match "quartus_sta" $module] {
	# Include commands here that are run
	# after timing analysis
	# Use the post-message command to display
	# messages
	post_message "Running after timing analysis"
}