インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.6.1. flowパッケージ

flowパッケージには2つのコマンドが含まれており、 インテル® Quartus® Primeコマンドライン実行コマンドを、標準的なコンパイルシーケンスで個別にまたは同時に実行します。
  • execute_moduleコマンドを利用すると、個々の インテル® Quartus® Primeコマンドライン実行コマンドを実行することが可能になります。
  • execute_flowコマンドを利用すると、一般的に使用される組み合わせでモジュールの一部またはすべてを実行することが可能になります。

システムコールの代わりに、flowパッケージを使用して、スクリプトまたは インテル® Quartus® Prime Tclコンソールから インテル® Quartus® Prime実行コマンドを実行します。