インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

2.3.1. オプションの優先順位

プロジェクト・アサインメントは、一連の優先規則に従います。
プロジェクト・アサインメントは、次の3つの場所に存在することができます。
  • インテル® Quartus® Prime Settings File (.qsf)
  • コンパイラー・データベース
  • コマンドライン・オプション

.qsfファイルには、プロジェクトの現在のリビジョンのプロジェクト全体およびエンティティー・レベルのすべてのアサインメントと設定が含まれています。コンパイラー・データベースには、最後のコンパイルの結果が /dbディレクトリーに格納され、プロジェクトがコンパイルされた時点でのアサインメントが反映されます。更新されたアサインメントは、最初にコンパイラー・データベースに、後で.qsfファイルに表示されます。

コマンドライン・オプションは、.qsfファイルまたはコンパイラー・データベース・ファイルの競合するアサインメントをオーバーライドします。コマンドラインで指定されていないアサインメントに対して .qsfファイルまたはコンパイラー・データベース・ファイルを優先するかどうかを指定するには、オプションの --read_settings_filesを使用します。

表 5.  読み取りアサインメントの優先順位
指定オプション 読み取りアサインメントの優先順位
--read_settings_files = on

(デフォルト)

  1. コマンドライン・オプション
  2. プロジェクトの.qsf
  3. プロジェクト・データベース(存在する場合はdbディレクトリー)
  4. インテル® Quartus® Prime開発ソフトウェアのデフォルト
--read_settings_files = off
  1. コマンドライン・オプション
  2. プロジェクト・データベース(存在する場合はdbディレクトリー)
  3. インテル® Quartus® Prime開発ソフトウェアのデフォルト

--write_settings_filesコマンドライン・オプションは、アサインメントが書き込まれる場所を一覧表示します。

表 6.  書き込みアサインメントの場所
指定オプション 書き込みアサインメントの場所
--write_settings_files = on (デフォルト) .qsfファイルとコンパイラー・データベース
--write_settings_files = off コンパイラー・データベース

コマンドライン・オプションとして指定されていないアサインメント、または.qsfファイルもしくはコンパイラー・データベース・ファイルに指定されていないアサインメントは、デフォルト値に設定されます。

--Read_settings_files=off オプションおよび --write_settings_files=off オプション(適切な場合)を使用して、 インテル® Quartus® Prime開発ソフトウェアが設定ファイルを読み込んで更新する方法を最適化します。