インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.6. デザインのコンパイル

インテル® Quartus® Prime コマンドライン実行コマンドは、Tclスクリプトから実行することができます。組み込まれているflowパッケージを使用して、さまざまな インテル® Quartus® Primeコンパイルフローを実行するか、または各実行コマンドを直接実行します。