インテルのみ表示可能 — GUID: qpi1479763621305
Ixiasoft
1.1. ツールコマンド言語
1.2. インテル® Quartus® Prime Tclパッケージ
1.3. インテル® Quartus® Prime Tcl APIのヘルプ
1.4. 終端間デザインフロー
1.5. プロジェクトの作成およびアサインメントの作成
1.6. デザインのコンパイル
1.7. レポート
1.8. タイミング分析
1.9. スクリプト実行の自動化
1.10. その他のスクリプティング機能
1.11. インタラクティブ・モードでの インテル® Quartus® Prime Tclシェルの例
1.12. tclshシェル
1.13. Tclスクリプティングの基礎知識
1.14. Tclスクリプティングの改訂履歴
インテルのみ表示可能 — GUID: qpi1479763621305
Ixiasoft
1.11. インタラクティブ・モードでの インテル® Quartus® Prime Tclシェルの例
この項では、quartus_shインタラクティブ・シェルを使用して、プロジェクト・アサインメントを作成し、有限インパルス応答 (FIR)フィルター・チュートリアル・プロジェクトをコンパイルする例を示します。
この例では、プロジェクト・ディレクトリーにfir_filterチュートリアル・デザイン・ファイルが用意されていることを想定しています。
- インタラクティブTclシェルを実行するには、システム・コマンドプロンプトで次のコマンドを入力します。
quartus_sh -s
- 次のコマンドを入力して、filtrefという名前のリビジョンでfir_filterという名前の新しいプロジェクトを作成します。
project_new -revision filtref fir_filter r
注:- プロジェクト・ファイルとプロジェクト名が同じである場合、 インテル® Quartus® Prime開発ソフトウェアは、プロジェクトと同じ名前をリビジョンに付けます。
- このプロジェクトの.qpfファイルがすでに存在する場合、 インテル® Quartus® Prime開発ソフトウェアは、プロジェクトが既に存在することを示すエラーを表示します。
filtrefという名前のリビジョンはトップレベル・ファイルと一致するため、すべてのデザインファイルが階層ツリーから自動的に選択されます。 - デバイスのグローバル・アサインメントを設定します。
set_global_assignment -name family <device family name>
-nameオプションで使用できるアサインメント名の詳細については、 インテル® Quartus® Primeのヘルプを参照してください。注: アサインメント値にスペースが含まれる場合は、その値を二重引用符で囲みます。 - デザインをコンパイルするには、::quartus::flowパッケージを使用します。このパッケージは、新しいプロジェクト・アサインメントを適切にエクスポートし、一連のコマンドライン実行コマンドを正しい順序で使用してデザインをコンパイルします。まず、パッケージを読み込みます。
load_package flow
次のように返されます。
1.1
- FIRフィルターデザインのフルコンパイルを実行するには、-compileオプションを指定して、execute_flowコマンドを使用します。
execute_flow -compile
このコマンドは、FIRフィルター・チュートリアル・プロジェクトをコンパイルし、プロジェクト・アサインメントをエクスポートし、quartus_syn、 quartus_fit、 quartus_asm 、およびquartus_staを実行します。このイベントのシーケンスは、 インテル® Quartus® Prime GUIでProcessing > Start Compilationを選択するのと同じです。
- プロジェクトが終了したら、 project_closeコマンドを使用してプロジェクトを閉じます。
- 次に、インタラクティブTclシェルを終了するために、Tclプロンプトでexitと入力します。