インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.4. 終端間デザインフロー

Tclスクリプトを使用して、スクリプティング・インターフェイスを含む他のソフトウェアの制御など、デザインフローのすべての側面を制御することができます。

一般に、EDAツールには、コア言語の機能をツール固有のコマンドで拡張した独自のスクリプト・インタープリターが含まれています。たとえば、 インテル® Quartus® Prime Tclインタープリターは、すべてのコアTclコマンドをサポートするとともに、 インテル® Quartus® Prime開発ソフトウェアに固有の多数のコマンドを追加します。1つのTclスクリプトにコマンドを含めて、別のスクリプトを実行することができます。これにより、スクリプトを結合または連結して異なるツールを制御することが可能になります。異なるツールのスクリプトは異なるTclインタープリターで実行する必要があるため、1 つのスクリプトでファイルに情報を書き込み、他のスクリプトでそれを読み取らない限り、スクリプト間で情報を受け渡すことは困難です。

インテル® Quartus® Prime開発ソフトウェアでは、単一のスクリプトからデザインフロー内の多数のさまざまな操作(合成、フィッティング、タイミング解析など)を実行することができ、グローバルステート情報の維持と操作間でのデータの受け渡しが容易になります。ただし、各実行コマンドでさまざまなパッケージがサポートされているため、単一のスクリプトで実行できる操作にはいくつかの制限があります。

実行コマンドからのフローの実行に対しては、制限事項はありません。フローは、

インテル® Quartus® Prime GUIの Processing > Startにある操作を含み、execute_flow Tclコマンドのオプションとしても表示されます。 インテル® Quartus® Prime開発ソフトウェアで設定し、フローを実行して目的の結果が得られる場合は、Tclスクリプトで同じ設定を行い、同じフローを実行できます。