インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

2.3. コマンドライン・オプションを使用したプロジェクト設定

インテル® Quartus® Prime開発ソフトウェアのコマンドライン実行コマンドは、プロジェクト変数を設定して共通設定にアクセスするための引数を受け入れます。

個々のエンティティーに割り当てを行うには、 インテル® Quartus® Prime TclスクリプティングAPIを使用できます。既存のプロジェクトでは、 インテル® Quartus® Prime GUIでプロジェクトを開き、アサインメントを変更して、プロジェクトを終了することもできます。変更されたアサインメントは.qsfで更新されます。この更新の後に実行されるコマンドライン実行コマンドは、更新されたアサインメントを使用します。