インテル® Quartus® Prime プロ・エディション ユーザーガイド: スクリプティング

ID 683432
日付 9/24/2018
Public
ドキュメント目次

1.5. プロジェクトの作成およびアサインメントの作成

既存のプロジェクトのすべてのアサインメントに対して1つのスクリプトを作成し、そのスクリプトを使用していつでもプロジェクト設定を既知の状態に復元することができます。

Project > Generate Tcl File for Projectをクリックして、アサインメントを含む.tclファイルを自動的に生成します。このファイルを基にしてプロジェクトを再度作成し、デザインをコンパイルするなどのその他のコマンドを追加することができます。このファイルは、プロジェクト管理コマンドおよびアサインメント・コマンドについて習得する上で、適切な開始点となります。

.qsfファイルに対して作成、または変更したアサインメントを確定するには、export_assignmentsまたはproject_closeコマンドを使用します。ただし、execute_flowコマンドを実行すると、 インテル® Quartus® Prime開発ソフトウェアはアサインメントの変更を.qsfファイルに自動的に確定します。この動作を防止するには、-dont_export_assignmentsロジックオプションを指定します。