MAX 10 FPGAデバイスのアーキテクチャ

ID 683105
日付 2/21/2017
Public
ドキュメント目次

1.4.1. グローバル・クロック・ネットワーク

GCLKはすべてのデバイスのクアドラントを供給し、デバイス全体を駆動します。I/Oエレメント、ロジック・アレイ・ブロック(LAB)、専用マルチプライヤ・ブロック、およびM9Kメモリ・ブロックといったデバイス内のすべてのリソースは、クロック・ソースとしてGCLKを使用することができます。これらのクロック・ネットワーク・リソースは、クロック・イネーブルやクリアなどの外部ピンによって供給されるコントロール信号に使用します。また、内部ロジックは、内部生成のGCLKと非同期クリア、クロック・イネーブル、またはその他の高ファンアウト・コントロール信号のGCLKを駆動することができます。
図 10.  10M0210M04、および 10M08 デバイスの GCLK ネットワーク・ソース
図 11.  10M1610M2510M40、および 10M50 デバイスの GCLK ネットワーク・ソース