Avalon® インターフェイスの仕様書

ID 683091
日付 9/26/2022
Public
ドキュメント目次

3.3. インターフェイスのプロパティー

表 10.   Avalon® -MM インターフェイスのプロパティー
名称 デフォルト値 有効な値 詳細
addressUnits ホスト: シンボル

エージェント: ワード

ワード、シンボル

アドレスの単位を指定します。シンボルは通常バイトです。

このプロパティーの一般的な使用方法に関しては、 Avalon® Memory-Mapped インターフェイス信号のタイプの表にある address の定義を参照してください。

alwaysBurstMaxBurst False True、False True の場合は、ホストが常に最大長のバーストを発行することを示します。最大バースト長は、2 burstcount_width - 1 です。このパラメーターは、 Avalon® -MM エージェント・インターフェイスに影響しません。
burstcountUnits ワード ワード、シンボル このプロパティーは、バーストカウント信号の単位を指定します。シンボルの場合、burstcount の値は、バースト内のシンボル (バイト) の数として解釈されます。ワードの場合、burstcount の値は、バースト内のワード転送数として解釈されます。
burstOnBurstBoundariesOnly False True、False True の場合、このインターフェイスに提供されるバースト転送は、最大バーストサイズの倍数のアドレスで開始します。
constantBurstBehavior ホスト: False

エージェント: False

True、False ホスト: True の場合、ホストがバースト・トランザクション全体でアドレスとバーストカウントを一定に保つことを宣言しています。False (デフォルト) の場合は、ホストがバーストの最初のビートでのみアドレスとバーストカウントを一定に保持することを宣言しています。

エージェント: True の場合、アドレスとバーストカウントがバースト全体で一定に保たれることをエージェントが想定していることを宣言します。False (デフォルト) の場合は、エージェントがバーストの最初のビートでのみアドレスとバーストカウントをサンプリングすることを宣言しています。

holdTime(1) 0 0 から 1000 サイクル write のデアサートと address および data のデアサート間の時間を timingUnits の単位で指定します。これは、書き込みトランザクションにのみ適用されます。
linewrapBursts False True、False 一部のメモリーデバイスは、インクリメント式のバーストではなくラップ式のバーストを実装します。ラップ式のバーストがバースト境界に達すると、アドレスは前のバースト境界にラップバックします。アドレスカウントには下位ビットのみが必要です。例えば、アドレス 0xC へのラップ式バーストで、32 ビット・インターフェイス全体で 32 バイトごとにバースト境界がある場合は、次のアドレスに書き込みが行われます。
  • 0xC
  • 0x10
  • 0x14
  • 0x18
  • 0x1C
  • 0x0
  • 0x4
  • 0x8
maximumPendingReadTransactions(1) 1 (2) 1 から 64

エージェント: このパラメーターは、エージェントでキューに入れることができる保留中の読み出しの最大数です。readdatavalid 信号を備えるエージェントの場合、値は 0 以外になります。

このプロパティーを表すタイミング図と、保留されている複数の読み出しで waitrequest および readdatavalid を使用する方法に関しては、可変レイテンシーでのパイプライン読み出し転送を参照してください。

ホスト: このプロパティーは、ホストで生成することができる最大の未処理の読み出しトランザクション数です。
注: このパラメーターを 0 に設定しないでください。後方互換を実現するため、ソフトウェアでは 0 のパラメーター設定がサポートされます。ただし、0 の設定は新しいデザインでは使用しないでください。
maximumPendingWriteTransactions 0 1 から 64

エージェントで受け入れることができる、もしくはホストで発行することができる保留中のノンポステッド書き込みの最大数です。エージェントは、インターコネクトがこの制限に達すると waitrequest をアサートするため、ホストでのコマンドの発行が停止します。

デフォルト値の 0 では、書き込み応答をサポートするホストにおいて、保留中の書き込みトランザクションは無制限に許可されます。書き込み応答をサポートするエージェントでは、これを 0 以外の値に設定する必要があります。
minimumResponseLatency 1   readdatavalid または writeresponsevalid をサポートするインターフェイスに対して、読み出しまたは書き込みコマンドとコマンドに対する応答の間の最小サイクル数を指定します。
readLatency(1) 0 0 から 63 レイテンシーが固定されている Avalon® -MM エージェントの読み出しレイテンシーです。固定レイテンシーでの読み出しを使用している場合のタイミング図に関しては、固定レイテンシーでのパイプライン読み出し転送を参照してください。

レイテンシーが固定されている Avalon® -MM エージェントでは、このインターフェイス・プロパティーの値を提供する必要があります。可変レイテンシーの Avalon® -MM エージェントでは、readdatavalid 信号を使用して有効なデータを指定します。

readWaitTime(1) 1 0 から 1000 サイクル waitrequest 信号を使用しないインターフェイスで使用します。readWaitTime は、エージェントが読み出しコマンドを受け入れる前のタイミングを timingUnits の単位で示します。タイミングは、エージェントが waitrequestreadWaitTime サイクルの間アサートしたようになります。
setupTime(1) 0 0 から 1000 サイクル Address および data のアサートと read または write のアサート間の時間を timingUnits の単位で指定します。
timingUnits(1) サイクル

サイクル

ナノ秒

setupTimeholdTimewriteWaitTimereadWaitTime の単位を指定します。同期デバイスにはサイクルを使用し、非同期デバイスにはナノ秒を使用します。ほとんどの Avalon® -MM エージェント・デバイスは同期しています。

Avalon® -MM エージェント・インターフェイスからオフチップのデバイスにブリッジされている Avalon® -MM コンポーネントは、非同期の場合があります。このオフチップデバイスでは、バスのターンアラウンドのセトリング時間が固定されている場合があります。

waitrequestAllowance 0  

waitrequest がアサートされた後に発行または受け入れることができる転送数を指定します。

waitrequestAllowance が 0 の場合、writereadwaitrequest 信号は、 Avalon® -MM 信号の役割の表で説明されている既存の動作を維持します。

waitrequestAllowance が 0 より大きい場合、 write または read がアサートされるクロックサイクルはすべて、コマンド転送としてカウントされます。waitrequest がアサートされると、waitrequest がアサートされている間は、waitrequestAllowance 数までのコマンド転送のみを正当に行うことができます。waitrequestAllowance の値に到達後は、waitrequest がアサートされている限り、write および read をデアサートに維持する必要があります。

waitrequest がデアサートされると、waitrequest が再びアサートされるまでは、転送を制約なしでいつでも再開することができます。再アサートされた場合、waitrequest がアサートされている間は、waitrequestAllowance 数までの転送を完了させることができます。

ホスト: ホストでは、waitrequest のアサート後に、read または write 信号を M 回アサートすることができます。M はホストの waitrequestAllowance プロパティーです。

エージェント: エージェントは、waitrequest 信号のアサート後に、N 回の転送を受け入れることができます。N はエージェントの waitrequestAllowance プロパティーです。

writeWaitTime(1) 0 0 から 1000 サイクル waitrequest 信号を使用しないインターフェイスにおいて、writeWaitTime は、エージェントが書き込みを受け入れる前のタイミングを timingUnits の単位で指定します。タイミングは、エージェントが waitrequestwriteWaitTime サイクルまたはナノ秒の間アサートしたようになります。

writeWaitTime を使用している場合のタイミング図に関しては、待機状態が固定されている読み出しおよび書き込み転送を参照してください。

インターフェイスの関係についてのプロパティー
associatedClock 該当なし 該当なし この Avalon® -MM インターフェイスが同期しているクロック・インターフェイスの名前です。
associatedReset 該当なし 該当なし この Avalon® -MM インターフェイス上のロジックをリセットするリセット・インターフェイスの名前です。
bridgesToHost 0 同じコンポーネント上の Avalon® -MM ホスト名 Avalon® -MM ブリッジは、エージェントとホストで構成されます。また、1 バイトまたは複数バイトを要求しているエージェントへのアクセスで、同じバイトがホストによって要求されるというプロパティーがあります。プラットフォーム・デザイナー・コンポーネント・ライブラリーの Avalon® -MM パイプライン・ブリッジは、この機能を実装しています。
注意
  1. このプロパティーはエージェント・デバイスの特性を指定するものですが、ホストでこのプロパティーを宣言し、一致するホスト・インターフェイスとエージェント・インターフェイス間の直接接続を有効にすることができます。
  2. エージェント・インターフェイスが許可されているよりも多くの読み出し転送を受け入れた場合、インターコネクトで保留されている読み出しの FIFO がオーバーフローし、予測できない結果が発生する可能性があります。場合によっては、エージェントが readdata を損失する、もしくは readdata を正しくないホスト・インターフェイスにルーティングすることがあります。または、システムがロックアップする場合があります。エージェント・インターフェイスで waitrequest をアサートし、このオーバーフローを防ぐ必要があります。