FタイルJESD204C インテル® FPGA IPデザイン例のユーザー ガイド

ID 691269
日付 9/27/2022
Public
ドキュメント目次

2.4. デザイン例のテストベンチのシミュレーション

デザイン例のテストベンチは、生成されたデザインをシミュレートします。
図 4. プロシージャー

リファレンス・デザインを実行するには、以下の手順を実行します。

  1. 作業ディレクトリーを <example_design_directory> /simulation/<Simulator>に変更します。
  2. コマンド ラインで、シミュレーション・スクリプトを実行します。次の表は、サポートされているシミュレーターを実行するためのコマンドを示しています。
    シミュレーター コマンド
    QuestaSim* / ModelSim* vsim -do modelsim_sim.tcl
    vsim -c -do modelsim_sim.tcl (それなし QuestaSim* / ModelSim* GUI)
    VCS* sh vcs_sim.sh
    VCS* MX sh vcsmx_sim.sh
    Xcelium* /xcelium_sim.sh
    シミュレーションは、実行が成功したかどうかを示すメッセージで終了します。
    図 5. 成功したシミュレーションこの図は、VCS シミュレーターの成功したシミュレーション・メッセージを示しています。