F-Tile JESD204C インテル® FPGA IPユーザーガイド

ID 691272
日付 4/26/2022
Public
ドキュメント目次

4.5. 新しい インテル® Quartus® Primeプロジェクトの作成

ユーザーはNew Project Wizardを使用して、 インテル® Quartus® Primeプロジェクトを新規作成する必要があります。新しいプロジェクトを作成すると、次のことが可能になります。
  • プロジェクトの作業ディレクトリーを指定します。
  • プロジェクト名を割り当てます。
  • トップレベル・デザイン・エンティティー名を指定します。
  1. インテル® Quartus® Prime開発ソフトウェアを起動します。
  2. FileメニューでNew Project Wizardをクリックします。
  3. New Project Wizard: Directory、Name、Top-Level Entityページで、作業ディレクトリー、プロジェクト名、およびトップレベル・デザイン・エンティティー名を指定します。Nextをクリックします。
  4. New Project Wizard: Add Filesページで、プロジェクトに含める既存のデザインファイル (存在する場合) を選択します。Nextをクリックします。
  5. New Project Wizard: Family & Device Settingsページで、コンパイルのターゲットとするデバイスファミリーと特定のデバイスを選択します。Nextをクリックします。
  6. EDA Tool Settingsページで、プロジェクトを開発するための インテル® Quartus® Prime開発ソフトウェアを使用するEDAツールを選択します。
  7. New Project Wizardウィンドウで選択した設定の概要を確認します。その後、 インテル® Quartus® Primeプロジェクトの作成を完了するには、Finishをクリックします。