AN 813: PCI Express* リファレンス・デザインを使用した Arria® 10デバイスの階層的なパーシャル・リコンフィグレーション

ID 683730
日付 9/24/2018
Public
ドキュメント目次

1.4. リファレンス・デザインのコンパイル

  1. リファレンス・デザインのベース・リビジョンをコンパイルするには、プロジェクト・ディレクトリー・レベルから次のコマンドを実行してください。
    quartus_sh --flow compile a10_pcie_devkit_cvp -c a10_pcie_devkit_cvp
    ベースリビジョンを除くすべての実装リビジョンには、それぞれの.qsfファイルに次のQDBファイル・パーティション割り当てが含まれています。
    
    set_instance_assignment -name QDB_FILE_PARTITION \
          output_files/a10_pcie_devkit_cvp_static.qdb -to |
    この割り当ては、リファレンス・デザインのスタティック・リージョン・ロジックを表す.qdbファイルを後続のPRペルソナ実装コンパイルにインポートします。各実装リビジョンには、1つまたは2つのENTITY_REBINDING割り当ても含まれています。この割り当ては、スタティック・リージョンの階層とPRペルソナの階層をリンクします。たとえば、a10_pcie_devkit_cvp_ddr4_access.qsfには、次のエンティティー・リバインド割り当てが含まれています。
    set_instance_assignment -name ENTITY_REBINDING \
          parent_persona_top -to \
          u_top|design_core|pr_region_wrapper|pr_persona_wrapper|u_pr_logi
    詳細については、Partial Reconfiguration User Guideの項Partial Reconfiguration Design Flowを参照してください。
  2. すべてのHPRでないペルソナをコンパイルするには、次のコマンドを実行してください。
    
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_normal_ddr4_access
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_normal_basic_arithmetic
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_normal_basic_dsp
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_normal_gol
    
  3. Logic Lockリージョンが、ベース・リビジョンと同じ設定でこのリビジョンで定義されていることを確認してください。
  4. HPR親ペルソナをコンパイルするには、次のコマンドを実行してください。
    
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_ddr4_access
    注: この手順を実行した後で、親PRパーティションの.qdbファイルを手動でエクスポートする必要があります。
    すべてのHPR子実装リビジョンには、追加のQDB FILE PARTITION割り当てが含まれています。
    
    set_instance_assignment -name QDB_FILE_PARTITION \
        output_files/a10_pcie_devkit_cvp_ddr4_access_pr_partition_final.qdb -to \
        u_top|design_core|pr_region_wrapper|pr_persona_wrapper|u_pr_logic
    この割り当ては、HPR親リージョンを表現している.qdbファイルを次のHPR子リージョンのコンパイルにインポートします。HPR子リビジョンは2つの子リージョンで構成されているため、これには2つのENTITY REBINDING割り当てが含まれます。
    
    set_instance_assignment -name ENTITY_REBINDING \
        basic_arithmetic_persona_top -to \
        u_top|design_core|pr_region_wrapper| \
        pr_persona_wrapper|u_pr_logic|u0|child_region_0|u_child_pr_logic
     
    
    set_instance_assignment -name ENTITY_REBINDING \
        basic_arithmetic_persona_top -to \
        u_top|design_core|pr_region_wrapper|pr_persona_wrapper| \
        u_pr_logic|u0|child_region_1|u_child_pr_logic
    
  5. HPR子ペルソナをコンパイルするには、次のコマンドを実行してください。
    
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_basic_arithmetic
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_basic_dsp
    quartus_sh --flow compile a10_pcie_devkit_cvp -c \
          a10_pcie_devkit_cvp_gol