インテル® Stratix® 10デバイスの低レイテンシー40G Ethernetデザイン例のユーザーガイド

ID 683718
日付 11/15/2018
Public

1.7. インテル Stratix 10 LL 40GbE ハードウェア・デザイン例のテスト

インテル Stratix 10 LL 40GbE IP コアのデザイン例をコンパイルし、ご自身のStratix® 10 デバイスにコンフィグレーションすると、IP コアとエンベデッド・ネイティブPHY IP コアレジスターのプログラミングに、Intelシステムコンソールを利用できるようになります。

次の手順に従い、システムコンソールをオンにし、ハードウェア・デザイン例をテストしてください。

  1. ハードウェア・デザイン例をStratix 10デバイスにコンフィグレーションした後、 インテル® Quartus® Primeプロ・エディション・ソフトウェア内ToolsメニューのSystem Debugging Tools > System Consoleをクリックします。
  2. Tcl Consoleペインにcd hwtestと入力し、ディレクトリーを<design_example_dir>/hardware_test_design/hwtestに変更します。
  3. source main.tclを入力し、JTAGマスターへの接続を開きます。

以下のコマンド例を使用し、IP コアをプログラミングできます。

  • chkphy_status: クロック周波数とPHYロックステータスを表示します。
  • chkmac_stats: MAC統計情報カウンターの値を表示します。
  • clear_all_stats: IP コア統計カウンターをクリアーします。
  • start_pkt_gen: パケット・ジェネレーターを開始します。
  • stop_pkt_gen: パケット・ジェネレーターを停止します 。
  • loop_on: 内部シリアル・ループバックをオンにします。
  • loop_off: 内部シリアル・ループバックをオフにします。
  • reg_read <addr>: IP コアレジスター値を<addr>で返します。
  • reg_write <addr> <data>: IP コアのレジスターアドレス<addr>に、<data>を書き込みます。