インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

トライステート出力

トライステート出力は、有効なデータ信号またはハイインピーダンス信号のいずれかを出力ポートまたはI/Oポートからドライブすることを可能とします。 どちらの信号のタイミングも、デザインの全体的なシステムのタイミングにおいて重要となります。

トライステート出力のタイミング制約は、通常の出力ポートと同じです。

図 11. トライステート・バッファーによって供給される一般的な出力

トライステート出力ポートの制約

# Base clock
create_clock [get_ports {clk}] \
        -name {clk} \
        -period 10.0 \
        -waveform {0.0 5.0}
# Virtual clock for the output port
create_clock  \
        -name {clk_virt} \
        -period 10.0 \
        -waveform {0.0 5.0}
# Output constraints
set_output_delay 2.0 \
        -max \
        -clock [get_clocks {clk_virt}] \
        [get_ports {tri_out}]
set_output_delay 1.0 \
        -min \
        -clock [get_clocks {clk_virt}] \
        [get_ports {tri_out}]