インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

フォルスパス

すべてのパスでタイミングを分析する必要はありません。クリティカルではないパスの同期は、タイミング解析から削除または切断できます。 クリティカルではないパスを宣言すると、 インテル® Quartus® Primeフィッターはクリティカルパスの最適化に集中し、全体的なコンパイル時間を短縮することが可能となります。
図 16. レジスター間の切断パス

フォルスパスは、クロック間転送あるいは個別のレジスターに適用可能です。クロック間転送にフォルスパスを適用すると、ターゲットとするクロック間のすべてのパスが切断されます。

フォルスパスのクロック間の例では、パスは切断されており、ソースクロックがclkAでデスティネーション・クロックがclkBであるレジスター間パスに対しては、タイミング・アナライザーは解析を行いません。これは、ソースレジスターがclkBでクロックされ、デスティネーション・レジスターがclkAでクロックされるレジスター間のパスには影響しません。

注: set_false_pathコマンドは、clkAからclkBへのパスを切断します。このコマンドは、clkBからclkAまでのパスは切断しません。clkBからclkAまでのパスを切断するには、set_false_path -from clkB -to clkAといった別のset_false_pathコマンドを適用する必要があります。別の方法としては、set_clock_groupsを使用してclkAからclkBまでのパスとclkBからclkAまでのパスを一つのコマンドで切断することができます。

フォルスパスを個別のレジスターに適用すると、指定したパスだけが切断されます。

フォルスパスクロック間

create_clock -period 12 [get_ports clkA]
create_clock -period 5 [get_ports clkB]
set_false_path -from [get_clocks {clkA}] -to [get_clocks {clkB}]

フォールスパス・レジスター間の例では、レジスターreg1からレジスターreg2までのレジスター間パスが切断されています。これ以外のすべてのパスには影響はありません。

フォルスパスレジスター間

create_clock -period 10 [get_ports clkA]
create_clock -period 5 [get_ports clkB]
set_false_path -from [get_pins {reg1|q}] -to [get_pins {reg2|d}]