インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

-divide_byを使用した基本的なクロック・ディバイダー

派生クロックがソース・クロックより遅い場合、クロック・ソースからデザイン内にク ロックを派生させることができます。 クロック・ソースから派生した低速クロックを制約する場 合、-divide_byオプションを使用します。
図 3. 2分周した派生クロック

-waveformクロック制約の分周

create_clock -period 10.000 -name clk [get_ports {clk}]
# Using -divide_by option
create_generated_clock \
	-divide_by 2 \
	-source [get_ports {clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]
# Alternatively use pins to constrain the divider without
# knowing about the original source
create_generated_clock \
	-divide_by 2 \
	-source [get_pins {DIV|clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]
# the second option works since the
# clock pin of the register DIV is
# connected to the same net fed by the
# clock port clk

-edgesオプションを使用すれば、分周クロックを作成することができます。このオプションを使用することで、クロックの立ち上がり、立下り、および次の立ち上がりエッジを指定することが可能となります。

図 4. -edgesオプションを使用した2分周クロック

-waveformクロック制約の分周

# Edge numbers are based on the master clock
create_generated_clock \
	-edges {1 3 5} \
	-source [get_pins {DIV|clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]