インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

クロック・マルチプレクサ

クロック・マルチプレクサでは、create_clock制約とset_clock_groups 制約を使用することで2つ以上のクロックを選択することが可能です。
図 7. 一般的な2:1クロック・マルチプレクサの制約

クロック・マルチプレクサの制約

#Create the first input clock clkA to the mux
create_clock -period 10.000 -name clkA [get_ports {clkA}]
#Create the second input clock clkB to the mux
create_clock -period 20.000 -name clkB [get_ports {clkB}]
#Cut paths between clkA and clkB
set_clock_groups 	-exclusive 	-group {clkA} 	-group {clkB}