インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

I/Oタイミング要件 (tSU、tH、およびtCO)

次の例は、set_input_delayを使用したtSUとtHの指定方法とset_output_delayを使用したtCOの指定方法を示しています。
図 14. I/Oタイミングの仕様

tSU、tH、およびtCOの制約

#Specify the clock period
set period 10.000
#Specify the required tSU
set tSU 1.250
#Specify the required tH
set tH 0.750
#Specify the required tCO
set tCO 0.4
#create a clock 10ns
create_clock -period $period -name clk [get_ports sys_clk]
#create the associated virtual input clock
create_clock -period $period -name virt_clk
set_input_delay -clock virt_clk \
	-max [expr $period - $tSU] \
	[get_ports {data_in[*]}]
set_input_delay -clock virt_clk \
	-min $tH \
	[get_ports {data_in[*]}]
set_output_delay -clock virt_clk \
	-max [expr $period - $tCO] \
	[get_ports {data_out[*]}]
set_output_delay -clock virt_clk \
	-min [expr -1*$tco] \
	[get_ports {data_out [*]}]