インテル® Quartus® Prime タイミング・アナライザー・クックブック

ID 683081
日付 11/21/2017
Public

このドキュメントの新しいバージョンが利用できます。お客様は次のことを行ってください。 こちらをクリック 最新バージョンに移行する。

トグルレジスターによるクロックの生成

2分周クロックを作成するには、トグルレジスターを使用します。 トグルレジスターを供給するデータが論理「1」の値であり、10 ns周期のクロックによって供給されている場合、このレジスターの出力は20 nsの周期を持つクロックとなります。

トグルレジスター・クロックに対する制約は、前の例と非常に似ています。

図 5. トグルレジスターによる2分周クロックの生成

トグルレジスターの制約

# Create a base clock
create_clock \
	-period 10.000 \
	-name clk \
	[get_ports {clk}]
# Create the generated clock on the output
# of the toggle register.
create_generated_clock \
	-name tff_clk \
	-source [get_ports {clk}] \
	-divide_by 2 \
	[get_pins {tff|q}]