Fタイル・ダイナミック・リコンフィグレーションのデザイン例ユーザーガイド

ID 710582
日付 4/03/2023
Public
ドキュメント目次

1.4. コンパイル専用プロジェクトのコンパイル

コンパイルのみのプロジェクト例をコンパイルするには、次の手順に従います。

  1. デザイン例コンパイルの生成が完了していることを確認します。
  2. インテルQuartus Prime開発ソフトウェア・プロ・エディションで、 インテル® Quartus® Prime プロ・エディションプロジェクトを開きます。
    • CPRI Multirate Design Exampleの場合、 <design_example_dir>/compilation_test_design/cpriphy_dr_ed.qpf
    • Ethernet Multirate Design Exampleの場合、 <design_example_dir>/compilation_test_design/eth_dr_ed.qpf
    • PMA/FEC Direct PHY Multirate Design Exampleの場合、 <design_example_dir>/compilation_test_design/dphy_dr_ed.qpf
    • Ethernet to CPRI Design Exampleの場合、 <design_example_dir>/compilation_test_design/dr_f_top.qpf
  3. Processingメニューで、Start Compilationをクリックします。
  4. コンパイルが成功すると、 インテル® Quartus® Prime プロ・エディションのセッションでタイミングおよびリソース使用率のレポートが使用可能になります。