Fタイル・ダイナミック・リコンフィグレーションのデザイン例ユーザーガイド

ID 710582
日付 4/03/2023
Public
ドキュメント目次

1.2.3. PMA/FEC Direct PHY Multirateデザイン例パラメーター

図 5. PMA/FEC Direct PHY MultirateのExample Designタブ
表 4.  PMA/FEC Direct PHY Multirateデザイン例パラメーター
パラメーター 説明
Select Protocol/mode

PMA/FEC Direct PHY

ダイナミック・リコンフィグレーション用のIPプロトコルを選択します。
Select Base Variant

50G-1

400G-8

ダイナミック・リコンフィグレーションのベースバリアントのコンフィグレーションを選択します。
Example Design Files Simulation

Synthesis

Simulationオプションは、テストベンチとコンパイル専用プロジェクトを生成します。Synthesisオプションは、ハードウェア・デザイン例を生成します。
Generated File Format Verilog

VHDL

HDLファイル形式を選択します。 VHDLを選択した場合は、混合言語シミュレーターを使用してテストベンチをシミュレートする必要があります。
Target Development Kit None

Intel® Agilex™ 7 I-Series Transceiver-SoC Development Kit

ターゲット開発キットオプションは、プロジェクトの生成に使用されるターゲットデバイスを指定します。