AN 953: デザインのパーシャル・リコンフィグレーション: インテル® Agilex® FシリーズFPGA開発ボード用

ID 683849
日付 7/23/2021
Public
ドキュメント目次

ステップ7 : PR実装リビジョンの準備

デバイス・プログラミング用のPRビットストリームをコンパイルおよび生成する前に、PR実装リビジョンを準備する必要があります。このセットアップには、各実装リビジョンのソースファイルとしてスタティック領域 .qdb ファイルを追加することが含まれます。さらに、PR領域の対応するエンティティーを指定する必要があります。
  1. 現在のリビジョンを設定するには、Project > Revisionsをクリックし、Revision nameblinking_led_defaultを選択して、Set Currentをクリックします。
  2. 各実装リビジョンの正しいソースを確認するには、Project > Add/Remove Files in Projectをクリックします。blinking_led.sv ファイルがファイルリストに表示されます。
    図 8. Filesページ
  3. 手順1から2を繰り返して、他の実装リビジョンのソースファイルを確認します。
    実装リビジョン名 ソースファイル
    blinking_led_default blinking_led.sv
    blinking_led_empty blinking_led_empty.sv
    blinking_led_slow blinking_led_slow.sv
  4. ルート・パーティションに関連付けられている .qdb ファイルを確認するには、Assignments > Design Partitions Windowをクリックします。Partition Database Fileblinking_led_static.qdb ファイルが指定されていることを確認するか、Partition Database Fileセルをダブルクリックしてこのファイルを指定します。
    もしくは、次のコマンドでこのファイルを割り当てます。
    
    set_instance_assignment -name QDB_FILE_PARTITION \
    	blinking_led_static.qdb -to |
  5. Entity Re-bindingセルで、実装リビジョンで変更する各PRパーティションのエンティティー名を指定します。blinking_led_default 実装リビジョンの場合、エンティティー名は blinking_led です。このチュートリアルでは、ベース・リビジョン・コンパイルの u_blinking_led インスタンスを新しい blinking_led エンティティーで上書きします。
    注: プレースホルダーのエンティティー再バインドの割り当ては、実装リビジョンに自動的に追加されます。ただし、アサインメントのデフォルトのエンティティー名を、デザインに適したエンティティー名に変更する必要があります。
    実装リビジョン名 エンティティー再バインド
    blinking_led_default blinking_led
    blinking_led_slow blinking_led_slow
    blinking_led_empty blinking_led_empty
    図 9. エンティティー再バインド

    次の各行が適切な .qsf に存在することを確認します。

    ##blinking_led_default.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led \
    		-to u_blinking_led
    						
    ##blinking_led_slow.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_slow \
    		-to u_blinking_led
    						
    ##blinking_led_empty.qsf
    set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \
    		-to u_blinking_led
  6. デザインをコンパイルするには、Processing > Start Compilationをクリックします。もしくは、次のコマンドでこのプロジェクトをコンパイルします。
    quartus_sh --flow compile blinking_led –c blinking_led_default
  7. 上記の手順を繰り返して、blinking_led_slow および blinking_led_empty リビジョンを準備します。
    quartus_sh --flow compile blinking_led –c blinking_led_slow
    quartus_sh --flow compile blinking_led –c blinking_led_empty
    注: PR実装のコンパイル中に適用するFitter固有の設定を指定できます。Fitter固有の設定は、ペルソナのフィットにのみ影響を与えます。インポートされたスタティック領域に影響を与えることはありません。