AN 953: デザインのパーシャル・リコンフィグレーション: インテル® Agilex® FシリーズFPGA開発ボード用

ID 683849
日付 7/23/2021
Public
ドキュメント目次

既存のペルソナの変更

ベースリビジョンを完全にコンパイルした後でも、既存のペルソナを変更できます。

例えば、blinking_led_slow ペルソナの点滅をさらに遅くするには、次を実行します。

  1. blinking_led_slow.sv ファイルで、COUNTER_TAP パラメーターを27から28に変更します。
  2. blinking_led_slow リビジョンのみを再コンパイルします。他のリビジョンを変更または再コンパイルする必要はありません。