インテル® Quartus® Primeタイミング・アナライザー・クックブック

ID 683081
日付 7/21/2022
Public

トライステート出力

トライステート出力は、有効なデータ信号またはハイ・インピーダンス信号のいずれかを出力ポートまたはI/Oポートから駆動することが可能になります。 トライステート出力のタイミング制約は、通常の出力ポートと同じです。

トライステート出力のタイミング制約は、通常の出力ポートと同じです。

図 11. トライステート・バッファーによって供給される一般的な出力

トライステート出力ポートの制約

# Base clock
create_clock [get_ports {clk}] \
        -name {clk} \
        -period 10.0 \
        -waveform {0.0 5.0}
# Virtual clock for the output port
create_clock  \
        -name {clk_virt} \
        -period 10.0 \
        -waveform {0.0 5.0}
# Output constraints
set_output_delay 2.0 \
        -max \
        -clock [get_clocks {clk_virt}] \
        [get_ports {tri_out}]
set_output_delay 1.0 \
        -min \
        -clock [get_clocks {clk_virt}] \
        [get_ports {tri_out}]