インテル® Quartus® Primeタイミング・アナライザー・クックブック

ID 683081
日付 7/21/2022
Public

フォールスパス

タイミング解析は、すべてのパスで行う必要はありません。非クリティカル・パスの同期は、タイミング解析から削除または切断できます。 非クリティカル・パスを宣言すると、 インテル® Quartus® Prime Fitterは、クリティカル・パスの最適化に集中できるので、全体のコンパイル時間を短縮できます。
図 16. レジスター間の切断パス

フォールスパスは、クロック間転送、または個別のレジスターに適用できます。クロック間転送にフォールスパスを適用すると、ターゲットのクロック間のすべてのパスが切断されます。

フォールスパス・クロック間の例では、パスは切断され、タイミング・アナライザーによる解析は、レジスター間パスのソースクロックが clkA で、デスティネーション・クロックが clkB の場合は行われません。これは、レジスター間パスのソースレジスターが clkB でクロックされ、デスティネーション・レジスターが clkA でクロックされる場合は影響しません。

注: set_false_path コマンドにより、クロック clkA から clkB へのパスが切断されます。このコマンドでは、clkB から clkA へのパスは切断されません。clkB から clkA へのパスを切断するには、set_false_path コマンド (例: set_false_path -from clkB -to clkA) を追加で適用してください。あるいは、set_clock_groups を使用すると、clkA から clkB へのパスと、clkB から clkA へのパスの切断が、1つのコマンドでできます。

フォールスパスを個別のレジスターに適用すると、指定したパスだけが切断されます。

フォールスパス・クロック間

create_clock -period 12 [get_ports clkA]
create_clock -period 5 [get_ports clkB]
set_false_path -from [get_clocks {clkA}] -to [get_clocks {clkB}]

フォールスパス・レジスター間の例では、レジスター reg1 からレジスター reg2 までのレジスター間パスが切断されています。他のパスには影響はありません。

フォールスパス・レジスター間

create_clock -period 10 [get_ports clkA]
create_clock -period 5 [get_ports clkB]
set_false_path -from [get_pins {reg1|q}] -to [get_pins {reg2|d}]