インテル® Quartus® Primeタイミング・アナライザー・クックブック

ID 683081
日付 7/21/2022
Public

I/Oタイミング要件 (tSU、tH、およびtCO)

次の例で示すのは、set_input_delay を使用したtSU とtH の指定方法、および set_output_delay を使用したtCO の指定方法です。
図 14. I/Oタイミング仕様

tSU、tH、およびtCO 制約

#Specify the clock period
set period 10.000
#Specify the required tSU
set tSU 1.250
#Specify the required tH
set tH 0.750
#Specify the required tCO
set tCO 0.4
#create a clock 10ns
create_clock -period $period -name clk [get_ports sys_clk]
#create the associated virtual input clock
create_clock -period $period -name virt_clk
set_input_delay -clock virt_clk \
	-max [expr $period - $tSU] \
	[get_ports {data_in[*]}]
set_input_delay -clock virt_clk \
	-min $tH \
	[get_ports {data_in[*]}]
set_output_delay -clock virt_clk \
	-max [expr $period - $tCO] \
	[get_ports {data_out[*]}]
set_output_delay -clock virt_clk \
	-min [expr -1*$tco] \
	[get_ports {data_out [*]}]