インテル® Quartus® Primeタイミング・アナライザー・クックブック

ID 683081
日付 7/21/2022
Public

クロック多重化

クロック多重化を使用すると、、create_clock および set_clock_groups 制約によって、2つ以上のクロックから選択することができます。
図 7. 一般的な2:1クロック・マルチプレクサの制約

クロック多重化の制約

#Create the first input clock clkA to the mux
create_clock -period 10.000 -name clkA [get_ports {clkA}]
#Create the second input clock clkB to the mux
create_clock -period 20.000 -name clkB [get_ports {clkB}]
#Cut paths between clkA and clkB
set_clock_groups 	-exclusive 	-group {clkA} 	-group {clkB}