インテル® Quartus® Primeタイミング・アナライザー・クックブック

ID 683081
日付 7/21/2022
Public

-divide_byを使用した標準的なクロック分周器

派生クロックがソースクロックよりも遅い場合、デザイン内のクロックをクロックソースから派生させることができます。 クロックソースから派生した低速クロックを制約する場合は、 -divide_byオプションを使用します。
図 3. 2分周の派生クロック

-waveformクロック制約の分周

create_clock -period 10.000 -name clk [get_ports {clk}]
# Using -divide_by option
create_generated_clock \
	-divide_by 2 \
	-source [get_ports {clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]
# Alternatively use pins to constrain the divider without
# knowing about the original source
create_generated_clock \
	-divide_by 2 \
	-source [get_pins {DIV|clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]
# the second option works since the
# clock pin of the register DIV is
# connected to the same net fed by the
# clock port clk

分周クロックの作成には、-edges オプションを使用することもできます。その場合は、クロックの立ち上がり、立ち下がり、および次の立ち上がりエッジを指定することができます。

図 4. -edgesオプションを使用した2分周クロック

-waveformクロック制約の分周

# Edge numbers are based on the master clock
create_generated_clock \
	-edges {1 3 5} \
	-source [get_pins {DIV|clk}] \
	-name clkdiv \
	[get_pins {DIV|q}]