AN 954: 階層型パーシャル・リコンフィグレーションのチュートリアル: インテル® Agilex® FシリーズFPGA開発ボード用

ID 683687
日付 8/04/2021
Public
ドキュメント目次

ステップ3: デザイン・パーティションの作成

パーシャル・リコンフィグレーションを行うPR領域ごとにデザイン・パーティションを作成する必要があります。デザインには、任意の数の独立したパーティションまたはPR領域をいくつでも作成できます。このチュートリアルでは、u_blinking_led_child インスタンスと u_blinking_led インスタンスの2つのデザイン・パーティションを作成します。
階層型パーシャル・リコンフィグレーション用のデザイン・パーティションを作成するには、次の手順に従います。
  1. Project Navigatoru_blinking_led_child インスタンスを右クリックし、Design Partition > Reconfigurableをクリックします。
    図 3. デザイン・パーティションの作成
  2. Assignments > Design Partitions Windowをクリックします。ウィンドウには、プロジェクト内のすべてのデザイン・パーティションが表示されます。
  3. 名前をダブルクリックして、Design Partitions Windowでデフォルトのパーティション名を編集します。パーティションの名前を pr_partition に変更します。
  4. 手順1と2を繰り返して、リコンフィグレーション可能なデザイン・パーティションを u_blinking_led インスタンスに割り当てます。このパーティションの名前を pr_parent_partition に変更します。
  5. Design Partitions Windowで、右端の列ヘッダーにある () をクリックし、Post Final Export File列をイネーブルします。
  6. ベースリビジョンのコンパイルから最終的なスタティック領域をエクスポートするには、Post Final Export File列の root_partition のエントリーをダブルクリックし、blinking_led_static.qdb と入力します。このファイルは、後続のPR実装のコンパイル用です。
  7. 最終的な親PRパーティションをベースリビジョンのコンパイルからエクスポートするには、Post Final Export Filepr_parent_partition のエントリーをダブルクリックし、pr_parent_partition_default_final.qdb と入力します。このファイルは、後続のPR実装のコンパイル用です。
図 4. Design Partitions Window
blinking_led.qsf に、リコンフィグレーション可能なデザイン・パーティションに対応する次のアサインメントが含まれていることを確認します。
set_instance_assignment -name PARTITION pr_partition -to \
        u_blinking_led|u_blinking_led_child
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \
        u_blinking_led|u_blinking_led_child
set_instance_assignment -name PARTITION pr_parent_partition -to \
        u_blinking_led
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \
        u_blinking_led
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \	
        blinking_led_static.qdb -to | -entity top
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ 	
        pr_parent_partition_default_final.qdb -to u_blinking_led -entity top