AN 954: 階層型パーシャル・リコンフィグレーションのチュートリアル: インテル® Agilex® FシリーズFPGA開発ボード用

ID 683687
日付 8/04/2021
Public
ドキュメント目次

ステップ1: はじめに

リファレンス・デザイン・ファイルを作業環境にコピーして、blinking_led フラットデザインをコンパイルするには、次の手順に従います。
  1. 作業環境にディレクトリー agilex_pcie_devkit_blinking_led_hpr を作成します。
  2. ダウンロードした tutorials/agilex_pcie_devkit_blinking_led_hpr/flat サブフォルダーを、ディレクトリー agilex_pcie_devkit_blinking_led_hpr にコピーします。
  3. インテルQuartus Prime開発ソフトウェア・プロ・エディションで、File > Open Projectをクリックし、blinking_led.qpf を選択します。
  4. フラットデザインの階層を詳しく説明するには、Processing > Start > Start Analysis & Synthesisをクリックします。もしくは、コマンドラインで次のコマンドを実行します。
    quartus_syn blinking_led -c blinking_led