AN 954: 階層型パーシャル・リコンフィグレーションのチュートリアル: インテル® Agilex® FシリーズFPGA開発ボード用

ID 683687
日付 8/04/2021
Public
ドキュメント目次

リファレンス・デザイン・ファイル

このチュートリアルに必要なファイルは、次の場所にあります。

https://github.com/intel/fpga-partial-reconfig

ファイルをダウンロードするには、
  1. Code > Download ZIPをクリックします。
  2. fpga-partial-reconfig-master.zip ファイルを解凍します。
  3. tutorials/agilex_pcie_devkit_blinking_led_hpr サブフォルダーに移動して、リファレンス・デザインにアクセスします。
flat フォルダーは、次のファイルで構成されています。
表 1.  リファレンス・デザイン・ファイル
ファイル名 説明
top.sv

デザインのフラット実装を含む最上位のファイル。このモジュールは、blinking_led サブパーティションと top_counter モジュールをインスタンス化します。

top_counter.sv LED[1] を直接制御する最上位の32ビットカウンター。カウンターの登録された出力は LED[0] を制御し、blinking_led モジュールを介して LED[2]LED[3] に電力を供給します。
blinking_led.sdc

プロジェクトのタイミング制約を定義します。

blinking_led.sv このチュートリアルでは、このモジュールを親PRパーティションに変換します。モジュールは、LED[2]LED[3] を制御する top_counter モジュールの登録された出力を受け取ります。
blinking_led.qpf

インテル® Quartus® Primeプロジェクト・ファイル。プロジェクト内のすべてのリビジョンのリストを含みます。

blinking_led.qsf

インテル® Quartus® Prime設定ファイル。プロジェクトのアサインメントと設定を含みます。

注:

hpr フォルダーには、このアプリケーション・ノートを使用して作成したファイルの完全なセットが含まれています。ウォークスルー中の任意の時点でこれらのファイルを参照してください。

図 2. リファレンス・デザイン・ファイル