使い始めユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683463
日付 5/07/2018
Public
ドキュメント目次

4.3. IP コアとQsys システムのアップデート

プロジェクト内のすべてのIPコアおよびPlatform Designerシステムをアップグレードして インテル® Quartus® Prime プロ・エディションのソフトウェアに移行します。 . インテル® Quartus® Prime プロ・エディションのソフトウェアは、IPコアおよびPlatform Designerシステムのインスタンス化および生成に標準準拠の方法論を使用します。 ほとんどのIntel FPGA IPコアおよびPlatform Designerシステムは、Upgrade IP Componentsダイアログボックスで自動的にアップグレードされます。

他のQuartusソフトウェア製品は、合成ファイル用にIPコアとPlatform Designerシステムのトップレベルで独自のVerilogコンフィグレーション手法を使用しています。 インテル® Quartus® Prime プロ・エディションはこのスキームをサポートしていません。 プロジェクト内のすべてのIPコアおよびPlatform Designerシステムをアップグレードするには、Project > Upgrade IP Componentsをクリックします。1

表 16.  IP CoreおよびPlatform Designerシステムの相違点
他のQuartusソフトウェア・プロダクト インテル® Quartus® Prime プロ・エディション
IPおよびQsys システムの生成には、トップレベルのIP コア内で独自のVerilogコンフィグレーション手法を使用し、シンセシス・ファイルに対してQsys システムを使用します。この独自のVerilogコンフィグレーション手法は、シンセシス中に曖昧なインスタンス化エラーからのRTLエンティティを防止しますが、このようなエラーはシミュレーション時に初めて明らかになる場合があります。この問題を解決するには、Verilogコンフィグレーションを記述し、インスタンス化の曖昧さを解消し、プロジェクトから複製されたエンティティを削除、あるいは競合するエンティティの名称を変更する必要があります。 インテル® Quartus® Prime プロ・エディション IP手法はこのような問題を解決します。

IPおよびQsys システムの生成に、独自のVerilogコンフィグレーションは使用されません。コンパイル・ライブラリーの手法は以下の方法で変更します。

  • すべてのIPコアのバリアントを全プロジェクトに応じて同じコンパイル・ライブラリーにコンパイルします。 インテル® Quartus® Prime プロ・エディションは、曖昧なインスタンス化エラーを避けるために同じ機能とパラメータ化でIPコアを画一的に命名します。たとえば、すべてのArria 10 PCI Express IPコア・バリアントのファイルは、altera_pcie_a10_hip_151コンパイル・ライブラリにコンパイルされます。
  • IPコアやシステムへのシミュレーションおよびシンセシス・ファイルは、同じ方法でエンティティをインスタンス化します。
  • これで、生成されたRTLディレクトリのストラクチャは、コンパイル・ライブラリのストラクチャと一致します。
注: IPコアのアップグレードの詳細については、「 インテル® Quartus® Primeプロジェクトの管理」を参照してください。
1 簡潔にするため、このセクションでは、 インテル® Quartus® Prime スタンダード・エディション、Intel Quartus Prime Lite Edition、およびQuartus IIソフトウェアをまとめて「他のQuartusソフトウェア製品」と呼びます。