使い始めユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683463
日付 5/07/2018
Public
ドキュメント目次

2.7.7.1. トップレベルVerilog HDLモジュールの例

マルチプレクサーに接続された1つの入力を持つトップレベル・モジュールのVerilog HDL ALTFP_MULT。
module MF_top (a, b, sel, datab, clock, result);
	   input [31:0] a, b, datab;
	   input clock, sel;
	   output [31:0] result;
	   wire [31:0] wire_dataa;	
	
	   assign wire_dataa = (sel)? a : b;  
	   altfp_mult inst1 (.dataa(wire_dataa), .datab(datab), .clock(clock), .result(result));
	
	   defparam 
		       inst1.pipeline = 11,
		       inst1.width_exp = 8,
		       inst1.width_man = 23,
		       inst1.exception_handling = "no";				
endmodule