使い始めユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683463
日付 5/07/2018
Public
ドキュメント目次

2.7.5.1. 生成されるシステム・シミュレーション・ファイル

インテル® Quartus® Primeソフトウェアは、オプションで、IPコアを生成するときに機能シミュレーション・モデル、任意のテストベンチ(またはサンプルデザイン)、ベンダー固有のシミュレーター・セットアップ・スクリプトを生成します。 IPシミュレーション・ファイルの生成を制御するには:
  • サポートされているシミュレーターとIPシミュレーション・ファイル生成のオプションを指定するには、Assignment > Settings > EDA Tool Settings > Simulationをクリックします。
  • 新しいIPバリエーションをパラメーター化し、シミュレーション・ファイルの生成を有効にし、IPコア合成ファイルとシミュレーション・ファイルを生成するには、Tools > IP Catalogをクリックします。
  • 既存のIPコア・バリエーションのパラメーターを編集し、合成またはシミュレーション・ファイルを再生成するには、View > Project Navigator > IP Componentsをクリックします。
表 12.   Intel FPGA IPのシミュレーション・ファイル
ファイルタイプ 変更内容 ファイル名
iシミュレーター・セットアップ・スクリプト ベンダー固有のスクリプトを使用して、 インテル® FPGA IPモデルとシミュレーション・モデル・ライブラリー・ファイルをコンパイル、精緻化、シミュレートします。 オプションで、個々のIPコアスクリプトを1つのファイルに結合するベンダーごとに生成されたシミュレータセットアップスクリプト。 最上位のシミュレーション・スクリプトから結合スクリプトを入手して、スクリプトのメンテナンスをなくします。

<my_dir>/aldec/riviera_setup.tcl

<my_dir>/cadence/ncsim__setup.sh

<my_dir>/xcelium/xcelium_setup.sh

<my_dir>/mentor/msim_setup.tcl

/simulation/synopsys/vcs/vcs_setup.sh

/simulation/synopsys/vcsmx/vcsmx_setup.sh

注: インテル® FPGA IPコアは、シミュレーションに特化したIP機能シミュレーション・モデルや暗号化されたRTLモデル、あるいはプレーン・テキストのRTLモデルを含む、幅広いシミュレーション・モデルをサポートしています。これらはすべてサイクル精度のモデルです。モデルは、業界標準のVHDLまたはVerilog HDLシミュレーターを使用する、IP コア・インスタンスの高速な機能シミュレーションをサポートしています。一部のコアでは、プレーン・テキストのRTLモデルのみが生成され、そのモデルしかシミュレーションできません。シミュレーション・モデルはシミュレーションのみに使用し、合成やその他の目的のために使用しないでください。これらのモデルを合成に使用すると、機能しないデザインが作成されます。