使い始めユーザーガイド: インテル® Quartus® Prime プロ・エディション

ID 683463
日付 5/07/2018
Public
ドキュメント目次

4.4.12. VerilogおよびVHDLタイプのマッピングの更新

インテル® Quartus® Prime プロ・エディションのシンセシスでは、Verilog HDLファイル(.v)で「false」に0、「true」に1を使用する必要があります。 その他のQuartusソフトウェア製品は、Verilog HDLの「true」と 「false」の文字列を、VHDLのTRUEとFALSEのブール値にマップします。 インテル® Quartus® Prime プロ・エディションのシンセシスは、.vファイル内のVerilog HDL以外の構造の検出にエラーを生成します。 構文エラーを回避するには、RTLがこれらの標準に適合していることを確認してください。