AN 826: インテル® Stratix® 10 GX FPGA開発ボードに向けた階層的なパーシャル・リコンフィグレーションのチュートリアル

ID 683327
日付 9/24/2018
Public
ドキュメント目次

手順 8: 親PRパーティションのPR実装リビジョンを準備する

デバイス・プログラミングに向けたPRビットストリームを生成する前に、親と子のPR実装リビジョンを準備する必要があります。このセットアップには、新しいPRロジックを既存の親PRパーティションにマッピングすることが含まれます。
  1. 現在のリビジョンを設定するには、Project > Revisionsをクリックし、Revision namehpr_parent_slow_child_defaultを選択した後でSet Currentをクリックします。
  2. 各実装リビジョンの正しいソースを確認するには、Project > Add/Remove Files in Projectをクリックします。blinking_led_child.svファイルがファイルリストに表示されます。
  3. 他の実装リビジョン・ソース・ファイルを確認するには、手順の1と2を繰り返します。
    実装リビジョン名 親ペルソナ・ソース・ファイル 子ペルソナ・ソース・ファイル
    hpr_parent_slow_child_default blinking_led_slow.sv blinking_led_child.sv
  4. ルート・パーティションに関連付けられた.qdbファイルを確認するには、Assignments > Design Partitions Windowをクリックします。静的領域に関連付ける.qdbファイルを指定するには、Partition Database Fileセルをダブルクリックし、output_files/blinking_led_static.qdbファイルに移動します。
    または、次のコマンドがこのファイルを割り当てます。
    
    set_instance_assignment -name QDB_FILE_PARTITION \
    	output_files/blinking_led_static.qdb -to |
  5. Entity Re-bindingセル内で、親PRパーティションのエンティティー名を指定します。この実装リビジョンのエンティティー名は、blinking_led_slowです。blinking_led_slowは、パーシャル・リコンフィグレーションを実行するエンティティーの名称です。u_blinking_ledはPR実行中にエンティティーが上書きするインスタンスの名称です。
  6. 次の行が.qsfに存在することを確認してください。
    #hpr_parent_slow_child_default.qsf
    set_instance_assignment -name ENTITY_REBINDING \
          blinking_led_slow -to u_blinking_led
    
    注: 子PRロジックは、エンティティー名がリバインドされている親PRパーティションによって既に定義済であるため、子PRパーティションにはエンティティーをリバインドする割り当てを使用しないでください。
  7. Logic Lock Regions Windowで、ベースリビジョンとして同じLogic Lock領域を子PRパーティションに定義します。
    注: 親PRパーティションのLogic Lock領域の再定義についての要件はありません。
  8. デザインをコンパイルするには、Processing > Start Compilationをクリックします。また、次のコマンドもこのプロジェクトをコンパイルします。
    quartus_sh --flow compile blinking_led –c hpr_parent_slow_child_default
  9. この新しい親PRパーティションを最終版の.qdbファイルとしてエクスポートするには、Project > Export Design Partitionをクリックします。パーティティンに対して、次のオプションを指定します。
    オプション 設定
    Partition name pr_parent_partition
    Partition database file <project>/pr_parent_partition_slow_final.qdb
    Include entity-bound SDC files Enable
    Snapshot Final
    または、次のコマンドは親PR領域をエクスポートします。
    
    quartus_cdb -r blinking_led -c blinking led --export_block \  root_partition --snapshot final --file --include_sdc_entity_in_partition \ output_files/pr_parent_partition_slow_final.qdb
    
  10. output_filesディレクトリーに生成されたファイルを検査します。
    表 6.  生成されるファイル
    名称 タイプ 説明
    hpr_parent_slow_child_default.pr_parent_partition.rbf 親PRパーティションのPRビットストリーム・ファイル 親PR領域に向けたデフォルトのペルソナのプログラミングに使用されます。より低速でled_two_onを点滅させます。
    hpr_parent_slow_child_default.pr_parent_partition.pr_partition.rbf 子PRパーティションのPRビットストリーム・ファイル 子PR領域に向けたデフォルトのペルソナのプログラミングに使用されます。デフォルトの速度でled_three_onを点滅させます。
    pr_parent_partition_slow_final.qdb 最終の.qdbデータベース・ファイル 低速の親PRパーティションのインポートに使用されます。