AN 826: インテル® Stratix® 10 GX FPGA開発ボードに向けた階層的なパーシャル・リコンフィグレーションのチュートリアル

ID 683327
日付 9/24/2018
Public
ドキュメント目次

手順 3: デザイン・パーティションを作成する

パーシャル・コンフィグレーションの実行を意図している各PR領域に対してデザイン・パーティションを作成する必要があります。 デザインには、任意の数の独立したパーティションまたはPR領域が作成可能です。このチュートリアルでは、u_blinking_led_childインスタンスとu_blinking_ledインスタンスに向けて2つのデザイン・パーティションを作成します。
階層的パーシャル・リコンフィグレーションに向けてデザイン・パーティションを作成するには、次を実行します。
  1. Project Navigatoru_blinking_led_childインスタンスを右クリックし、Design Partition > Set as Design Partitionをクリックします。パーティションとして設定された各インスタンスの隣にデザイン・パーティションのアイコンが表示されます。
    図 3. Project Navigatorからのデザイン・パーティションの作成
  2. パーティションのTypeを定義するには、Hierarchyタブのu_blinking_led_childインスタンスを右クリックし、Design Partition > Reconfigurableをクリックします。インスタンスとしてパーティションを設定した後は、そのパーティションのType以外は設定不可能です。
    デザイン・パーティションがDesign Partitions WindowのAssignments Viewタブに表示されます。
    図 4. Design Partitions Window
  3. パーティション名をDesign Partitions Windowで変更するには、そのパーティション名をダブルクリックします。このリファレンス・デザインでは、パーティション名をpr_partitionに変更します。
    注: パーティションを作成すると、 インテル® Quartus® Prime開発ソフトウェアはインスタンス名と階層パスに基づきパーティション名を自動で生成します。このデフォルトのパーティション名は、各インスタンスによって異なります。
  4. 手順1と2を繰り返し実行して、リコンフィグレーション可能なデザイン・パーティションをu_blinking_ledインスタンスに割り当てます。このパーティション名をpr_parent_partitionに変更します。
  5. ベース・リビジョン・コンパイルから最終的な静的領域をエクスポートするには、Post Final Export Fileカラムのroot_partitionのエントリーをダブルクリックし、blinking_led_static.qdbと入力します。このファイルは、後でPR実装のコンパイルに使用します。
  6. ベース・リビジョン・コンパイルから最終的な静的領域をエクスポートするには、Post Final Export Fileカラムのpr.parent_partitionのエントリーをダブルクリックし、pr_parent_partition_default_final.qdbと入力します。このファイルは、後でPR実装のコンパイルに使用します。
    注: このファイルは、プロジェクト・ディレクトリーのoutput_filesフォルダー内で利用可能です。
blinking_led.qsfが次の割り当てを含んでおり、リコンフィグレーション可能なデザイン・パーティションに対応していることを確認します。

set_instance_assignment -name PARTITION pr_partition -to \
        u_blinking_led|u_blinking_led_child
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \
        u_blinking_led|u_blinking_led_child

set_instance_assignment -name PARTITION pr_parent_partition -to \
        u_blinking_led
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to \
        u_blinking_led

set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \	
        blinking_led_static.qdb -to | -entity top

set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ 	
        pr_parent_partition_default_final.qdb -to u_blinking_led -entity top