AN 826: インテル® Stratix® 10 GX FPGA開発ボードに向けた階層的なパーシャル・リコンフィグレーションのチュートリアル

ID 683327
日付 9/24/2018
Public
ドキュメント目次

1.4.6.2. 実装リビジョンを作成する

  1. Revisionsダイアログボックスを開くには、Project > Revisionsをクリックします。
  2. 新しいリビジョンを作成するには、<<new revision>>をダブルクリックします。
  3. Revision namehpr_child_defaultを指定し、Based on revisionに対してblinking_ledを選択します。
  4. Revision typeにはPartial Reconfiguration - Persona Implementationを選択します。
  5. This project uses a Partition Database (.qdb) file for the root partitionをイネーブルします。この時点でRoot Partition Database fileを指定する必要はありません。この名前は、この後の段階でDesign Partitions Windowから入力することができます。
    図 7. リビジョンを作成する
  6. 同様に、他のリビジョンのRevision typeを設定します。
    • hpr_child_slow
    • hpr_child_empty
    • hpr_parent_slow_child_default
    • hpr_parent_slow_child_slow
    注: 上記のリビジョンは、現行のリビジョンとして指定しないでください。
  7. それぞれの.qsfファイルに次の割り当てが含まれていることを確認してください。
    set_global_assignment -name REVISION_TYPE PR_IMPL
    set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
    place_holderは新しく作成されたPR実装リビジョンのデフォルトのエンティティー名です。